پرش به


تصویر

مشکل با floating ip core

floating point

  • لطفا وارد حساب کاربری خود شوید تا بتوانید پاسخ دهید
1 پاسخ برای این موضوع

#1 jalal_ba

jalal_ba

    newbie

  • کاربر عادی
  • ستاره
  • 2 ارسال

ارسالی 10 March 2018 - 08:08 PM

با سلام خدمت دوستان
من از  floating point ipcore v5.0 استفاده می کنم و تنظیماتشم بصورت زیر است:
float to fix
floating-point precision : single (32bit)
fix-point precision :int32(32bit)
و کد هم بصورت زیر است:

module top(x);
reg clk;
output [31:0] x;
real a;
initial
    begin
    clk=1'b0;
    forever #30 clk=~clk;
    #600 $stop;
    end
initial
begin
a=0.9
end

    float f2 (
.a(a), // input [31 : 0] a
.clk(clk), // input clk
.result(x) // output [31 : 0] result
);

endmodule

actual(sim) result:0100000000000000000000000000
expectative result:00111111011001100110011001100110

نتیجه شبیه سازی با نتیجه واقعی همخوانی ندارد علت چیست؟

Capture1.JPG



#2 mahmoud

mahmoud

    Administrator

  • مدیریت انجمن
  • 100 ارسال

ارسالی 29 January 2019 - 11:12 AM

سلام

مدارتون سنکرون هست. باید از ریست استفاده کنید.






0 کاربر در حال خواندن این موضوع است

0 کاربر، 0 مهمان و 0 عضو مخفی